Технический форум

Технический форум (http://www.tehnari.ru/)
-   Электроника для начинающих (http://www.tehnari.ru/f117/)
-   -   Бесплатная программа, генерирующая плату с разводкой из модели на языке VHDL (http://www.tehnari.ru/f117/t95174/)

useronforum 13.03.2014 08:56

Бесплатная программа, генерирующая плату с разводкой из модели на языке VHDL
 
Здравствуйте.

Подскажите, пожалуйста, существует ли бесплатная программа, которая по логической модели цифровой платы на языке VHDL генерирует саму плату с транзисторами и разводкой и визуализирует её?

===
Качество генерации (избыточность элементов) и используемая элементная база не важны.

Из
Цитата:

entity add1 is
port (b1, b2 : in bit;
c1, s1 : out bit);
end add1;

architecture struct_1 of add1 is
begin
s1 <= ((b1 and (not b2)) or ((not b1) and b2));
c1 <= b1 and b2;
end struct_1;

и т.д.
в
Цитата:

diy-circuits.com/files/147/amrecpcb3d_orig.gif

Линарик 25.03.2014 12:29

Скорее всего нет.

useronforum 09.09.2014 21:06

Вложений: 1
Но по программе на языке VHDL автоматически можно построить логическую схему, если программа не содержит некоторые специфические инструкции, например, циклы с изменяемыми индексами и т.д. (проверял в бесплатной версии программы Altera Quartus II 13.1)


Часовой пояс GMT +4, время: 09:31.

Powered by vBulletin® Version 4.5.3
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd.