Показать сообщение отдельно
Старый 09.09.2014, 21:06   #3 (permalink)
useronforum
Новичок
 
Регистрация: 13.03.2014
Сообщений: 2
Сказал(а) спасибо: 0
Поблагодарили 0 раз(а) в 0 сообщениях
Репутация: 10
По умолчанию

Но по программе на языке VHDL автоматически можно построить логическую схему, если программа не содержит некоторые специфические инструкции, например, циклы с изменяемыми индексами и т.д. (проверял в бесплатной версии программы Altera Quartus II 13.1)
Изображения
 
useronforum вне форума   Ответить с цитированием
Ads

Яндекс

Member
 
Регистрация: 31.10.2006
Сообщений: 40200
Записей в дневнике: 0
Сказал(а) спасибо: 0
Поблагодарили 0 раз(а) в 0 сообщениях
Репутация: 55070