Показать сообщение отдельно
Старый 13.03.2014, 08:56   #1 (permalink)
useronforum
Новичок
 
Регистрация: 13.03.2014
Сообщений: 2
Сказал(а) спасибо: 0
Поблагодарили 0 раз(а) в 0 сообщениях
Репутация: 10
По умолчанию Бесплатная программа, генерирующая плату с разводкой из модели на языке VHDL

Здравствуйте.

Подскажите, пожалуйста, существует ли бесплатная программа, которая по логической модели цифровой платы на языке VHDL генерирует саму плату с транзисторами и разводкой и визуализирует её?

===
Качество генерации (избыточность элементов) и используемая элементная база не важны.

Из
Цитата:
entity add1 is
port (b1, b2 : in bit;
c1, s1 : out bit);
end add1;

architecture struct_1 of add1 is
begin
s1 <= ((b1 and (not b2)) or ((not b1) and b2));
c1 <= b1 and b2;
end struct_1;

и т.д.
в
Цитата:
diy-circuits.com/files/147/amrecpcb3d_orig.gif
useronforum вне форума   Ответить с цитированием
Ads

Яндекс

Member
 
Регистрация: 31.10.2006
Сообщений: 40200
Записей в дневнике: 0
Сказал(а) спасибо: 0
Поблагодарили 0 раз(а) в 0 сообщениях
Репутация: 55070